About Timing Constraints Manager

Synopsys Timing Constraints Manager, built on FishTail Design Automation technology, offers a unique low-noise approach for designers to improve chip design by verifying, generating, and managing SDC constraints. Synopsys Timing Constraints Manager is a complete solution for the management of design constraints as chip-implementation progresses. Designers can drive chip-implementation using comprehensive and accurate constraints earlier in the design cycle leading to improved PPA, shorter overall TAT and elimination of the risk of silicon failure caused by incorrect timing exceptions. Designers can also use Synopsys Timing Constraints Manager for promotion and demotion of constraints. Synopsys Timing Constraints Manager technology provides the ability to take a large, complex RTL design or gate-level netlist and automatically abstract only the required behavior and structure with respect to the task being performed.

Key Benefits

quality of results

Superior SDC Verification QoR Using Formal Technology

bugs

Critical Bug Finding

Using Sophisticated Timing Exception Verification and SDC Management Solutions

automate

Highly Automated and Easy-to-Use

Resources

SNUG Papers

A SolvNetPlus account is needed to access these papers. 

Support and Training

SolvNetPlus

Explore the Synopsys Support Community! Login is required.

SNUG

Erase boundaries and connect with the global community.

Connect with Us